Ryzen


Ryzen is a brand of x86-64 microprocessors designed and marketed by Advanced Micro Devices, Inc. for desktop, mobile and embedded platforms based on the Zen microarchitecture. It consists of central processing units marketed for mainstream, enthusiast and workstation segments and accelerated processing units marketed for mainstream and entry-level segments and embedded applications. Ryzen is especially significant for AMD, since it is a completely new design, and marks the corporation's return to the high end desktop CPU market. AMD's competitor Intel controlled this section of the market for ten years since 2006, when Intel released the groundbreaking Core microarchitecture derived from the Pentium 3 that continues to underpin its CPU designs right up to the present day. Also of note is the failed release of AMD's infamous Bulldozer microarchitecture in 2011 for the sole purpose of focusing on "APU development" for 5 years up until the first generation Ryzen processors were released in 2017.
AMD officially announced a new series of processors, named "Ryzen" during its New Horizon summit on December 13, 2016 and introduced Ryzen 1000 series processors in February 2017, featuring up to 8 cores and 16 threads, which launched on March 2, 2017. The second generation of Ryzen processors, the Ryzen 2000 series, features the Zen+ microarchitecture, an incremental improvement built on a 12nm process technology, was released in April 2018 and featured a marginal performance increase over Ryzen 1000 processors that first released in 2017. The third generation of Ryzen processors launched on July 7, 2019 and based on AMD's Zen 2 architecture, features more significant design improvements and a further shrink to TSMC's 7nm process. On June 16, 2020, AMD announced new Ryzen 3000 series XT processors with 4% higher boost clocks versus non XT processors. This closes the 5% average gap between Intel and AMD gaming performance stating that Intel processors are only 1% faster on average in gaming just before Zen 3 architecture arrives-according to enthusiasts from reddit and other online forums. In late 2019, the Zen 3 core has been revealed to be an entirely new architecture rumored to be built on TSMC's 7 nm+ EUV node, which is currently undergoing engineering sampling as of Q4 2019 with production yields exceeding expectations.
A majority of AMD's consumer Ryzen products utilize the Socket AM4 platform. In August 2017 AMD launched their Ryzen Threadripper line aimed at the enthusiast workstation market. AMD Ryzen Threadripper uses the larger TR4 and sTRX4 sockets, which support additional memory channels and PCI Express lanes.
In December 2019, AMD started putting out first generation Ryzen products built using the second generation Zen+ architecture. The most notable example is Ryzen 5 1600, with newest batches, having "AF" identifier instead of its usual "AE", being essentially a rebadged Ryzen 5 2600 with the same specifications as the original Ryzen 5 1600.

History

In the five years before the release of Ryzen, AMD's direct competitor in the x86 and x86-64 consumer-level CPU marketspace, Intel, had continued to grow its market share with the tick-tock improvement cycle of its Core series of microprocessors. Since the release of its Bulldozer microarchitecture in 2011, AMD's CPUs had fallen progressively behind those from Intel in both single- and multi-core performance. Despite a die shrink and several revisions of the Bulldozer architecture, performance and power efficiency failed to catch up with Intel's competing products.
Ryzen is the consumer-level implementation of the newer Zen microarchitecture, a complete redesign that marked the return of AMD to the high-end CPU market, offering a product stack able to compete with Intel at every level. Having more processing cores, Ryzen processors offer greater multi-threaded performance at the same price point relative to Intel's Core processors. The Zen architecture delivers more than 52% improvement in instructions-per-clock cycle over the previous-generation Bulldozer AMD core, without increasing power consumption. The changes to instruction set also makes it binary-compatible with Intel's Broadwell, smoothing the transition for users.
Threadripper, which is geared for high end desktops, wasn't developed as part of a business plan or a specific roadmap; instead, a small enthusiast team inside AMD saw an opportunity that something could be developed between the Ryzen and Epyc CPU roadmaps that would put the crown of performance on AMD. After some progress was made in their spare time, the project was greenlit and put in an official roadmap by 2016.
Since the release of Ryzen, AMD's CPU market share has increased while Intel appears to have stagnated.

Features

CPUs

APUs

Product lineup

Ryzen 1000

CPUs

CPUs

The first Ryzen 2000 CPUs, based on the 12 nm Zen+ microarchitecture, were announced for preorder on April 13, 2018 and launched six days later.
Zen+ based Ryzen CPUs are based on Pinnacle Ridge architecture, while Threadripper CPUs are based on the Colfax microarchitecture. The first of the 2000 series of Ryzen Threadripper products, introducing Precision Boost Overdrive technology, followed in August. The Ryzen 7 2700X was bundled with the new Wraith Prism cooler.

APUs

Desktop
In January 2018, AMD announced the first two Ryzen desktop APUs with integrated Radeon Vega graphics under the Raven Ridge codename. These were based on first generation Zen architecture. The Ryzen 3 2200G and the Ryzen 5 2400G were released in February.
Mobile
In May 2017, AMD demonstrated a Ryzen mobile APU with four Zen CPU cores and Radeon Vega-based GPU. The first Ryzen mobile APUs, codenamed Raven Ridge, were officially released in October 2017.
In 2019, AMD released some new dual core Zen mobile parts branded as 300 or 3000.
Embedded
Great Horned Owl
In February 2018, AMD announced the V1000 series of embedded Zen+ Vega APUs, based on the Great Horned Owl architecture, with four SKUs.
Banded Kestrel
In April 2019, AMD announced another line of embedded Zen+Vega APUs, namely the Ryzen Embedded R1000 series with two SKUs.

Ryzen 3000

CPUs

On May 27, 2019, at Computex in Taipei, AMD launched its third generation Ryzen processors which use AMD's Zen 2 architecture. In this generation, Ryzen CPUs utilize the Matisse microarchitecture, while Threadrippers are based on the Castle Peak microarchitecture. The chiplet design separates the CPU cores, fabricated on TSMC's 7FF process, and the I/O, fabricated on GlobalFoundries' 12nm process, and connects them via Infinity Fabric. The Ryzen 3000 series uses the AM4 socket similar to earlier models and is the first CPU to offer PCIe version 4 connectivity. The new architecture offers a 15% instruction-per-clock uplift and a "significant" reduction in energy usage. Other improvements include a doubling of the L3 cache size, a re-optimized L1 instruction cache, a larger micro-operations cache, double the floating point performance, improved branch prediction, and better instruction pre-fetching. The 6-, 8- and 12-core SKUs became generally available on July 7, 2019. On September 20, 2019 AMD announced that third generation Ryzen Threadripper processors with core counts starting at 24 would be launched in November.
The Ryzen Threadripper 3990X, part of Castle Peak generation of CPUs, has currently the world's largest number of both cores and threads available in consumer-oriented CPUs - 64 and 128, respectively. The competing Intel Core i9-10980XE processor has only 18 cores and 36 threads. Another competitor, the workstation-oriented Intel Xeon W-3275 and W-3275M, has 28 cores and 56 threads and were more expensive when launched.
The 4-, 6- and 8-core processors have one core chiplet. The 12- and 16-core processors have two core chiplets. In all cases the I/O die is the same.
The Threadripper 24- and 32-core processors have four core chiplets. The 64-core processor has eight core chiplets. All Threadripper processors use the same I/O die.

APUs

Both mobile and desktop APUs are based on the Picasso microarchitecture, a 12 nm refresh of Raven Ridge, offering a modest increase in clock speeds, Precision Boost 2, an up to 3% increase in IPC from the move to the Zen+ core with its reduced cache and memory latencies, and newly added solder thermal interface material for the desktop parts.
Desktop
Mobile
In 2019, AMD first released the Ryzen 3000 APUs, consisting only of quad core parts. Then in January 2020, they announced value dual core mobile parts, codenamed Dalí, including the Ryzen 3 3250U.

Ryzen 4000

CPUs

Desktop Ryzen 4000 CPUs, based on the Zen 3 microarchitecture, are expected to be released in 2020. They use an improved 7 nm manufacturing process. Mainstream Ryzen 4000 CPU cores are codenamed Vermeer. Enthusiast/workstation Threadripper 4000 CPU cores are codenamed Genesis.

APUs

Desktop
Mobile
Zen 2 APUs, based on the 7 nm Renoir microarchitecture, commercialized as Ryzen 4000. These APU processors hallmark the first time "ever" in computing history that Intel processors are soundly defeated in performance, power consumption and efficiency in almost any benchmark against any of Intel current processor offerings upon release. The laptop to lead the charge to demonstrate Renoir was an Asus Zephyrus G14.

Initial reception

The first Ryzen 7 processors debuted in early March 2017 and were generally well received by hardware reviewers. Ryzen was the first brand new architecture from AMD in five years, and without very much initial fine-tuning or optimization, it ran generally well for reviewers. Initial Ryzen chips ran well with software and games already on the market, performing exceptionally well in workstation scenarios, and well in most gaming scenarios. Compared to Piledriver-powered FX chips, Zen-powered Ryzen chips ran cooler, much faster, and used less power. IPC uplift was eventually gauged to be 52% higher than Excavator, which was two full generations ahead of the architecture still being used in AMD's FX-series desktop predecessors like the FX-8350 and FX-8370. Though Zen fell short of Intel's Kaby Lake in terms of IPC, and therefore single-threaded throughput, it compensated by offering more cores to applications that can use them. Power consumption and heat were found to be highly competitive with Intel, and the included Wraith coolers were generally competitive with higher-priced aftermarket solutions.
Ryzen 1800X's multi-threaded performance, in some cases while using Blender or other open-source software, was around four times the performance of the FX-8370, or nearly double that of the i7 7700K. One reviewer found that Ryzen chips would typically outperform competing Intel i7 processors for a fraction of the price when all eight cores were utilized.
One complaint among a subset of reviewers, however, was that Ryzen processors fell behind their Intel counterparts when running older games, or running certain newer games at mainstream resolutions such as 720p or 1080p. AMD acknowledged the gaming performance deficit at low resolutions during a Reddit "Ask Me Anything" thread, where it explained that updates and patches were being developed. Subsequent updates to Ashes of the Singularity: Escalation and Rise of the Tomb Raider increased frame rates by 17 to 31% on Ryzen systems. Developer id Software announced in April 2017 it would optimize its future games to make use of the greater parallelism available on Ryzen CPUs.
It has been suggested that low threaded applications often result in Ryzen processors being underutilized, producing lower than expected benchmark scores, due to the fact that Zen relies on its core count to make up for its lower IPC rating than that of Kaby Lake. However, AMD and others have argued thread scheduling is not the fundamental issue to Windows 10 performance. Early AM4 motherboards were also hindered by BIOS bugs and poor DDR4 memory support.

Operating system support

Windows

AMD verified that computers with Ryzen CPUs can boot Windows 7 and Windows 8 but on newer hardware, including AMD Ryzen and Intel Kaby Lake and later, Microsoft only officially supports the use of Windows 10. Windows Update blocks updates from being installed on newer systems running older versions of Windows, though that restriction can be circumvented with an unofficial patch.
Although AMD initially announced that Ryzen chipset drivers would not be provided for Windows 7, its chipset driver packages do in fact list and include them.

Linux

Full support for Ryzen processors' performance features in Linux requires kernel version 4.10 or newer.

Known issues

Spectre

Like nearly all modern high performance microprocessors, Ryzen was susceptible to the "Spectre" vulnerabilities. The vulnerabilities can be mitigated without hardware changes via microcode updates and operating system workarounds, but the mitigations incur a performance penalty. AMD Ryzen and Epyc suffer up to 9% penalty from the mitigations, depending on workload, comparing favorably with a penalty of in some cases more than 50% for Intel Core and Xeon processors, in part as a result of the AMD processors not requiring mitigation against the related Meltdown vulnerability.
Launched in 2019, Zen 2 includes hardware mitigations against the Spectre V4 speculative store bypass vulnerability.

Segmentation fault

Some early shipments of Ryzen 1000 series processors produced segmentation faults on certain workloads on Linux, especially while compiling code with GCC. AMD offered to replace the affected processors with newer ones that are not affected by the problem.

Alleged issues by CTS Labs

In early 2018, an Israeli cyber-security consultancy firm called CTS Labs stated that they had discovered several major flaws in the Ryzen components ecosystem, publicly disclosing them after giving AMD 24 hours to respond and raising concerns and questions regarding their legitimacy, though they were later confirmed by two separate security firms. AMD has since stated that while the flaws are real and would be fixed via microcode updates, they were severely overplayed as physical access to the hardware is required to exploit them.