ASM International


ASM International, trading as ASM, is a Dutch headquartered multinational corporation that specializes in design, manufacturing, marketing and service of semiconductor equipment for the fabrication of semiconductor devices. Its products are used primarily in front-end wafer processing, among the critical process steps that create the active components within integrated circuits transistors and capacitors. ASM’s technologies include atomic layer deposition, epitaxy, chemical vapor deposition and diffusion.
The company was founded by Arthur del Prado as ‘Advanced Semiconductor Materials’ in 1964. From 2008 until 2020, the son of Arthur del Prado, Chuck del Prado was CEO.
ASM pioneered important aspects of many established wafer-processing technologies used in industry, including lithography, deposition, ion implantation, single-wafer epitaxy, and in recent years atomic layer deposition. Semiconductor equipment companies ASML, ASM Pacific Technology and Besi are former divisions of ASM. Between 2004 and 2012, the company was under siege of several well known hedge funds.
ASM is headquartered in Almere, the Netherlands with R&D and manufacturing facilities in South Korea, Singapore, United States, Belgium, Finland, and Japan. The shares of the company are listed on the Euronext Amsterdam. March, 2020 ASM moved to the AEX index. As of 2019, it has 2,337 staff.

History

1960s: In 1964, Arthur del Prado founds ASM as ‘Advanced Semiconductor Materials’ in Bilthoven, the Netherlands. Initially the company operates as a sales agent in semiconductor fabrication technology in Europe.
1970s: ASM starts to design, manufacture and sell chemical vapor deposition equipment. In 1974 it acquires Fico Toolings, a Dutch manufacturer of semiconductor molds. A Hong Kong sales office ASM Asia, now known and traded as ASM Pacific Technology, is established in 1976. ASM America is founded in Phoenix, Arizona, 1975. The sale of ASM’s horizontal plasma-enhanced chemical vapor deposition furnaces drives the company’s growth.
1980s: Following an initial public offering on the Nasdaq in May 1981, the company expands. In 1982 ASM Japan is established. ASM invests in new semiconductor fabrication technologies, like lithography, ion implantation, epitaxy, and wire bonding. In 1988, the company divests ASML Holding N.V., ASM Ion Implant, and it lists its Hong Kong based activities as ASM Pacific Technology on the local stock exchange in 1989.
1990s: The company reorganizes thoroughly between 1991 and 1994. In 1993, ASM Fico sells Berliner Electro Holding, now known as Besi. ASM focusses on vertical low-pressure chemical vapor deposition furnaces by ASM Europe, single wafer plasma-enhanced chemical vapor deposition by ASM Japan and single wafer epitaxy by ASM America. From 1996 onwards, the company is also listed on the Euronext, Amsterdam.
ASM retains a majority stake in ASM Pacific Technology.
2000s: ASM expands again with investments in 300-mm wafer technology and atomic layer deposition. In 2007, the company successfully brings atomic layer deposition from R&D to industrial production via the high-k metal gate application. At the same time, hedge funds question the company’s stake in ASM Pacific Technology. In 2008 Arthur del Prado is succeeded as CEO by his son, Chuck del Prado. In 2009 headquarters move from Bilthoven to Almere, the Netherlands.
2010s: The company returns to structural profitability. The application of atomic layer deposition in multiple patterning and high-k metal gate drives ASM’s growth. Other products include diffusion, LPCVD, PECVD, and epitaxy. Its stake in ASM Pacific Technology is reduced to 25%. In 2020, on the Euronext, the company is included on the AEX index. which includes the top-25 of companies listed on the Euronext Amsterdam stock exchange. The same year, Chuck del Prado is succeeded by Benjamin Loh as CEO.

Finances

Revenues

ASM sells its equipment to semiconductor manufacturers worldwide, with the majority of its revenues from Asian customers. In 2019, 1.07 billion euro of the total 1.28 billion euro in revenues was derived from equipment sales, the rest resulted from spares and service.
YearRevenueProfit/loss
2013 €452 million €106 million
2014 €602 million €141 million
2015 €670 million €157 million
2016 €598 million €135 million
2017 €737 million €452 million
2018 €818 million €157 million
2019 €1.28 billion €329 million

Market capitalization

Shares of ASM are traded on the Euronext stock exchange since 1996. Since March 2020, ASM is included on the AEX index. The market capitalization of ASM Pacific Technology is no longer consolidated after ASM’s interest in ASM Pacific Technology decreased to 25 percent in 2013. Between 1981 and 2015 ASM was also listed on the Nasdaq.
In 2018 share price averaged at € 48,62 resulting in an average market capitalization of 2.53 billion euro. In 2019 average closing price was € 68.98, resulting in an average market capitalization of 3.38 billion euro. Over 2020 H1 the average share price was € 105.47 with an average market capitalization of 5.41 billion euro.

Environmental record

Since 2015 ASM publishes a corporate social responsibility report, which discusses safety, injury rates, landfill diversion rate, water consumption and greenhouse gas emissions, etc.

Operations

ASM International headquarters is located in Almere, the Netherlands.
ASM Europe is the Dutch subsidiary overseeing all European marketing and service matters, and including product development for vertical furnaces, and the R&D operations ASM Microchemistry in Finland and ASM Belgium, near IMEC in Belgium. ASM Europe was legally incorporated in Bilthoven in 1982 to demarcate the European activities from those of the company’s head-office. Currently it is located in Almere, the Netherlands.
ASM America is the American subsidiary overseeing all marketing and service matters in the United States, and is located in Phoenix, Arizona. It also includes product R&D for epitaxy and thermal atomic layer deposition processes. The subsidiary was established in Phoenix, Arizona in 1976.
ASM Japan is the entity responsible for all marketing and service in Japan, and is located in Tama, Tokyo. Additionally, ASM Japan includes product development of plasma-based equipment, like plasma-enhanced chemical vapor deposition and plasma-enhanced atomic layer deposition. ASM Japan was founded in 1982 in Machida, Tokyo.
ASM Korea is the operation that develops, manufactures, markets and services semiconductor equipment for the Korean market. The operation is part of the product group for plasma-based products. ASM Korea was established in 1995 as a sales-office. In 2005, ASM acquired Genitech, a local start-up in plasma-enhanced chemical vapor deposition. In 2007, ASM Genitech and ASM Korea merged. The operation is located in Gyeonggi.
FEMS, an abbreviation for ‘Front-End Manufacturing Singapore’, is the manufacturing operation for all of ASM’s products. The operation was established in 2004.
Others, ASM also has other sales & service offices across the globe, including China, Taiwan, Singapore and Israel. ASM International has a minority stake in ASM Pacific Technology, a Hong Kong-based company active in semiconductor assembly, packaging and surface-mount technology.