Signoff (electronic design automation)


In the automated design of integrated circuits, signoff checks is the collective name given to a series of verification steps that the design must pass before it can be taped out. This implies an iterative process involving incremental fixes across the board using one or more check types, and then retesting the design. There are two types of sign-off's: front-end sign-off and back-end sign-off. After back-end sign-off the chip goes to fabrication. After listing out all the features in the specification, the verification engineer will write coverage for those features to identify bugs, and send back the RTL design to the designer. Bugs, or defects, can include issues like missing features, errors in design, etc. When the coverage reaches a maximum% then the verification team will sign it off. By using a methodology like UVM, OVM, or VMM, the verification team develops a reusable environment. Nowadays, UVM is more popular than others.

Check types

Signoff checks have become more complex as VLSI designs approach 22nm and below process nodes, because of the increased impact of previously ignored second-order effects. There are several categories of signoff checks.
A small subset of tools are classified as "golden" or signoff-quality. Categorizing a tool as signoff-quality without vendor-bias is a matter of trial and error, since the accuracy of the tool can only be determined after the design has been fabricated. So, one of the metrics that is in use is the number of successful tapeouts enabled by the tool in question. It has been argued that this metric is insufficient, ill-defined, and irrelevant for certain tools, especially tools that play only a part in the full flow.
While vendors often embellish the ease of end-to-end execution through their respective tool suite, most semiconductor design companies use a combination of tools from various vendors in order to minimize correlation errors pre- and post-silicon. Since independent tool evaluation is expensive and a risky proposition, it is feasible only for the largest design companies. As a value add, several semiconductor foundries now provide pre-evaluated reference/recommended methodologies which includes a list of recommended tools, versions, and scripts to move data from one tool to another and automate the entire process.
This list of vendors and tools is meant to be representative and is not exhaustive: