Back end of line


The back end of line is the second portion of IC fabrication where the individual devices get interconnected with wiring on the wafer, the metalization layer. Common metals are copper and aluminum.
BEOL generally begins when the first layer of metal is deposited on the wafer. BEOL includes contacts, insulating layers, metal levels, and bonding sites for chip-to-package connections.
After the last FEOL step, there is a wafer with isolated transistors. In BEOL part of fabrication stage contacts, interconnect wires, vias and dielectric structures are formed. For modern IC process, more than 10 metal layers can be added in the BEOL.
Steps of the BEOL:
  1. Silicidation of source and drain regions and the polysilicon region.
  2. Adding a dielectric, CMP processing it
  3. Make holes in PMD, make a contacts in them.
  4. Add metal layer 1
  5. Add a second dielectric, called the inter-metal dielectric
  6. Make vias through dielectric to connect lower metal with higher metal. Vias filled by Metal CVD process.
  7. :Repeat steps 4–6 to get all metal layers.
  8. Add final passivation layer to protect the microchip
Before 1998, practically all chips used aluminium for the metal interconnection layers.
The four metals with the highest electrical conductivity are silver with the highest conductivity, then copper, then gold, then aluminium.
After BEOL there is a "back-end process", which is done not in the cleanroom, often by a different company.
It includes wafer test, wafer backgrinding, die separation, die tests, IC packaging and final test.